<div dir="ltr">Answering Ian&#39;s question: I tested with the release versions of SimulationTools (1.0.0) and h5mma (1.2.0) using the latest version of OS X (10.11.4) and Mathematica (10.4.0).<div><br></div><div>For debugging, you may have more success starting h5mma from the command line and then connecting to the executable from Mathematica. See <a href="https://bitbucket.org/simulationtools/h5mma/wiki/Debugging">https://bitbucket.org/simulationtools/h5mma/wiki/Debugging</a> for instructions. As well as being able to use a debugger, this will enable you to see any standard output that may be printed by the HDF5 library.</div></div><div class="gmail_extra"><br><div class="gmail_quote">On Tue, Apr 12, 2016 at 7:45 PM, Bernard Kelly <span dir="ltr">&lt;<a href="mailto:physicsbeany@gmail.com" target="_blank">physicsbeany@gmail.com</a>&gt;</span> wrote:<br><blockquote class="gmail_quote" style="margin:0 0 0 .8ex;border-left:1px #ccc solid;padding-left:1ex">Nice idea.<br>
<br>
The first thing I notice when loading h5mma is that gdb (now ggdb BTW,<br>
and I have to &quot;sudo&quot; for this purpose as well) generates an assload of<br>
Warnings of nonexistent files:<br>
<br>
/Library/Caches/com.apple.xbs/Binaries/Metal/Metal-56.6~1/TempContent/Objects/Metal.build/Framework.build/Objects-normal/x86_64/MTLArgument.o<br>
[...]<br>
<br>
In fact, the directory /Library/Caches/com.apple.xbs doesn&#39;t exist on<br>
my system. I wonder if this is related to my ultimate problem?<br>
<br>
Moving on, the failure when using ImportHDF5 appears to exit<br>
&quot;cleanly&quot;, leaving no backtrace:<br>
<br>
------------------------------------------------<br>
0x00007fff92f9b07a in select$DARWIN_EXTSN () from<br>
/usr/lib/system/libsystem_kernel.dylib<br>
(gdb) continue<br>
Continuing.<br>
[Inferior 1 (process 40825) exited normally]<br>
(gdb) backtrace<br>
No stack.<br>
------------------------------------------------<br>
<br>
Presumably that first line might point to something, but I don&#39;t know what.<br>
<span class="HOEnZb"><font color="#888888"><br>
B<br>
</font></span><div class="HOEnZb"><div class="h5"><br>
On 12 April 2016 at 14:11, Ian Hinder &lt;<a href="mailto:ian.hinder@aei.mpg.de">ian.hinder@aei.mpg.de</a>&gt; wrote:<br>
&gt;<br>
&gt; On 12 Apr 2016, at 20:01, Bernard Kelly &lt;<a href="mailto:physicsbeany@gmail.com">physicsbeany@gmail.com</a>&gt; wrote:<br>
&gt;<br>
&gt; Hi Ian.<br>
&gt;<br>
&gt; Thanks; I&#39;m trying the compilation now, but am failing with a linking<br>
&gt; error I&#39;ve encountered lately: &quot;Undefined symbols for architecture<br>
&gt; x86_64&quot;. This seems to be an issue with MacPorts gcc compilers. I<br>
&gt; don&#39;t know if my MacPorts installation is borked, but I can&#39;t compile<br>
&gt; this right now.<br>
&gt;<br>
&gt; ... so any additional ideas on the precompiled h5mma binary are appreciated.<br>
&gt;<br>
&gt;<br>
&gt; Maybe you could try with homebrew?<br>
&gt;<br>
&gt; The only thing I can think for the precompiled binary is to attach a<br>
&gt; debugger to it.  h5mma should be loaded when you load SimulationTools; it<br>
&gt; will appear as a process in &quot;ps&quot;.  You could attach gdb:<br>
&gt;<br>
&gt; /opt/local/libexec/gnubin/gdb --pid $(pgrep h5mma)<br>
&gt;<br>
&gt; and then type &quot;continue&quot;.  Now, evaluate the ImportHDF5 expression from<br>
&gt; Mathematica. With any luck, you will get a backtrace in gdb when h5mma<br>
&gt; crashes.  I wonder if the problem is related to the executable being<br>
&gt; compiled on an earlier version of OS X.<br>
&gt;<br>
&gt; --<br>
&gt; Ian Hinder<br>
&gt; <a href="http://members.aei.mpg.de/ianhin" rel="noreferrer" target="_blank">http://members.aei.mpg.de/ianhin</a><br>
&gt;<br>
</div></div></blockquote></div><br></div>